Skip to main content
eScholarship
Open Access Publications from the University of California

UC Riverside

UC Riverside Previously Published Works bannerUC Riverside

Virtual Ways: Low-Cost Coherence for Instruction Set Extensions with Architecturally Visible Storage

Published Web Location

http://www1.cs.ucr.edu/faculty/philip/papers/journals/taco/taco14-virtualways.pdf
No data is associated with this publication.
Abstract

Instruction set extensions (ISEs) improve the performance and energy consumption of application-specific processors. ISEs can use architecturally visible storage (AVS), localized compiler-controlled memories, to provide higher I/O bandwidth than reading data from the processor pipeline. AVS creates coherence and consistence problems with the data cache. Although a hardware coherence protocol could solve the problem, this approach is costly for a single-processor system. As a low-cost alternative, we introduce Virtual Ways, which ensures coherence through a reduced form of inclusion between the data cache and AVS. VirtualWays achieve higher performance and lower energy consumption than using a hardware coherence protocol. © 2014 ACM.

Many UC-authored scholarly publications are freely available on this site because of the UC's open access policies. Let us know how this access is important for you.

Item not freely available? Link broken?
Report a problem accessing this item