Skip to main content
eScholarship
Open Access Publications from the University of California

UC Berkeley

UC Berkeley Electronic Theses and Dissertations bannerUC Berkeley

Pattern Matching for Advanced Lithographic Technologies

Abstract

This dissertation extends fast-CAD kernel convolution methods for the identification of unintended effects in optical lithography, including OPC-induced sensitivities, high-NA and polarization vector effects. A more accurate through-focus physical model is incorporated, and the application of layout decomposition guidance for double patterning is demonstrated. All layout regions react differently to lithographic processes such as aberrations, and the vulnerabilities are non-intuitive and hard to capture with design rules. The pattern matcher is a fast tool, developed by Gennari and Neureuther, for quickly scanning layouts to find vulnerabilities to unintended effects of lithographic processes. Kernel convolutions are performed between Maximum Lateral Test Patterns (MLTPs) and mask layouts, and are over a factor of 104 times faster than rigorous simulation. Challenges faced in pattern matching extensions include MLTP derivation, edge movement prediction, defocus accuracy improvement, and integration of image effect estimation into real-time guidance for layout decomposition.

As motivation for why variability and yield are important, a study is presented in which a probabilistic distribution of transistor Critical Dimensions (CD) is generated given a focus-exposure joint distribution. An interpolation model is used to generate CD response surfaces, producing a fast method for the analysis of average CD variation for each transistor, the spread of individual variations, the OPC performance, the Across Chip Linewidth Variation (ACLV), and yield distribution. This study motivates the importance of understanding the variability in a layout; the remainder of the dissertation demonstrates how pattern matching can provide a fast approximation to variability due to lithographic effects.

MLTPs, derived as the inverse Fourier Transform of the Zernike polynomials, are the theoretically most sensitive patterns to lens aberrations. As well as being used as input to the pattern matcher, MLTPs can also be etched onto a mask to function as aberration monitors. However, MLTPs are inherently very costly and unfriendly for mask manufacturing, due to round edges and touching phases. Both a mask-friendly handmade pattern and an automated method of monitor modification are presented. The handmade pattern retains 68% of its sensitivity to defocus and orthogonality to other aberrations, and the automatically generated pattern passes all DRC checks with only minimal modifications.

Use of the pattern matcher on pre-OPC layouts admits the identification of problematic hot-spots earlier in the design flow. Several studies are presented on the effects of different OPC algorithms on match factors. In most cases, match factors do not vary significantly between the pre-OPC layout and the post-OPC layout, and the pre-OPC match factor is a good indicator for the sensitivity of the post-OPC layout area. However, in some circumstances, especially when SRAFs are present, the pre- and post-OPC match factors can vary by a larger amount. It is shown that defocus and proximity sensitivities occur in different locations on a layout, and if OPC targets the best-case simulation, then it is possible for OPC to worsen sensitivities to aberrations. As a consequence, pattern matching should be used on post-OPC layouts to check for any created sensitivities.

Extensions of the pattern matcher are presented for high-NA and polarization vulnerabilities. This involves the generation of three to five match patterns for either on-axis or off-axis illumination, with a vulnerability score being calculated as a weighted sum of the match factors. The patterns are tested against simulation, and found to be good predictors of vulnerability to high-NA and polarization vector effects. High-NA and polarization vector effects are significant, causing intensity changes of 40% or 10% respectively for the on-axis case, and 8% for the off-axis case.

The accuracy of the pattern matcher is evaluated, and improved. A method for predicting edge movement through coma, rather than just change in intensity, takes the image slope into account and improves the R2 from 0.73 to 0.95. A major contribution of this dissertation is the improvement of the pattern matching model for defocus. A quadratic model for defocus is presented, using both the Optical Path Difference (OPD) and OPD2, rather than just the linear term. OPD2 expands to yield two new patterns, Z0 and Z8, to be used in addition with Z3, which is derived from the OPD term. Using the three match patterns, prediction of the change in intensity through focus improves from completely non-predictive to an R2 value of 0.92. Results show that the Z3, pattern and a combined Z0 and Z8, pattern predict change in intensity through defocus at line ends with an R2 of 0.96, indicating that two match factors with algebraic weighting factors are likely possible. These results are of great importance, as defocus is not a small aberration, reaching typical values of nearly one Rayleigh unit, and the ability to find defocus-induced hot-spots is of practical interest.

Double patterning is identified as an emerging technique that benefits from the application of pattern matching. In double patterning, a layout is split into two masks, each mask being exposed separately, effectively doubling the pitch. A process flow is presented showing that pattern matching can add value both within the double patterning decomposition algorithm, and also on the post-decomposition layout. Pattern matching is tested on post-decomposition layouts, showing that in one particular case using complementary dipole illumination, the match factors for coma are increased significantly on the post-decomposition layout. In another case for annular illumination, introducing an extra split is shown to reduce the variability through coma, and reduces the match factor by 55%. Furthermore, when splitting an H-structure, a number of different splits are scanned by the pattern matcher, and the split with the lowest intensity change through defocus (which was two thirds smaller than the largest change) is correctly identified. These examples show that the pattern matcher is an appropriate tool for double patterning, that can quickly provide a measure of intensity change through defocus during the layout decomposition process.

Main Content
For improved accessibility of PDF content, download the file to your device.
Current View