Skip to main content
eScholarship
Open Access Publications from the University of California

UC Berkeley

UC Berkeley Electronic Theses and Dissertations bannerUC Berkeley

Mask Edge Effects in Optical Lithography and Chip Level Modeling Methods

Abstract

This dissertation presents a full framework for modeling transmission effects due to three-dimensional mask topography in optical lithography from solving Maxwell's equations using rigorous simulation through fast-CAD for full chip level aerial image quality characterization in optical projection printing. As the semiconductor industry advances to the 22nm technology node where features are sub-wavelength, lithography imaging must be accurate to the nanometer. Non-ideal transmission caused by scattering off of mask edges has become an increasingly important source of inaccuracies in lithography modeling. Here mask edge effects are treated in two modules: modeling the near field scattering phenomena and then moving that information into fast-CAD first cut accurate simulation.

Phase errors induced by mask edges lead to an asymmetric behavior through focus, which when combined with polarization dependent effects lead to significant loss in the process window. Phase shifting masks, leveraging image benefits of 0 degree and 180 degree transmission, further complicate the interplay of partial signal delay and the resulting complex phase errors. It is shown that for even conservative imaging scenarios up to 40% of the focus latitude is lost.

Two methods for characterizing this scattering induced by mask edges are introduced.

The first is an experimental approach, which uses gratings to characterize the polarization dependent magnitude of these errors as might be utilized in an inexpensive mask monitoring apparatus. The second method examines the direct near field behavior with simulation, leading to more accurate phase information as well as guidelines for edge-to-edge cross-talk. A MoSi attenuating 180 degree phase shift mask was characterized in detail, with boundary layer values of about 20 nm (1/10 wavelengths) in mask dimensions even for high off-axis illumination. Non-attenuating chromeless masks and complicated mask stacks such as TaSiO2 showed significant electromagnetic errors as high as 1/4 wavelengths, suggesting that they are not viable for advanced lithography applications. Further, a study of a hypothetical thin phase shifting mask showed that the phase error effects is inherent to the use of neighboring phase wells, and cannot be remedied by material improvements.

The most significant contribution of this dissertation is the development of Source-Pupil Kernel Convolution with Pattern Matching (SP-KCPM) that connects the information gained from boundary layer modeling to fast-CAD pattern matching tools, achieving a 10^4 speedup compared to conventional imaging. SP-KCPM is built on a computational engine developed by Frank Gennari that optimizes the process of pixel based multiplication of a target pattern across large layouts. The degree of similarity is then used in SP-KCPM to estimate aerial image values. Full complex interactions are included, and along with a pupil-based framework enables more general imaging by including additional phenomena such as defocus, zernike aberrations, measured aberrations, and potentially resist and polarization effects without needing separate kernels or algebraic perturbations. Since the pupil calculation is generated automatically and can combine many effects, the need for deriving and confounding multiple physical phenomena has been eliminated. Proximity effects between features are also accounted for, removing the need for a prior image calculation or restrictions to a specific image contour. A new coherent source model combined with source splitting is used to generalize the aerial image quality assessment to distributed off-axis sources utilized in advanced resolution enhancement techniques.

This distributed source-pupil based convolution method has guaranteed impressive accuracy well beyond that historically reported for kernel convolution pattern matching methods at full chip speeds, thus enabling many new applications. Careful implementation considerations such as pattern size, gridding, normalization, and source clustering guided the development of a very accurate system. For various sources, dipole, annular, quad, and pixelated optimized sources, R^2 correlation is shown to be above 0.99. Additionally, effects of defocus, zernike aberrations, background aberrations, and asymmetric sources have all been shown to be accurate.

As an example of new applications, SP-KCPM was tested on highly pixelated sources used in source-mask-optimization, and accuracy of R^2 = 0.99 was achieved on general layouts by splitting the source into 12 regions. This capability is used to demonstrate the ability to make decisions between source distributions and mask blanks. Realtime tracking of mask changes facilitates further applicability in optical proximity correction is sufficiently fact for interoperability as part of an optimization scheme. Hotspot detection is used to quickly make decisions between sources or mask types by assessing the impact an optimized source solution over a larger non-optimized layout region. Real time tracking of mask changes opens the door for SP-KCPM to be used for optimization techniques and optical proximity correction (OPC). SP-KCPM is shown to be a general tool, useful wherever fast imaging is at a premium with applicability in many forms of optical imaging such as inspection and character recognition, in addition to standard projection printing.

Main Content
For improved accessibility of PDF content, download the file to your device.
Current View