Skip to main content
eScholarship
Open Access Publications from the University of California

UC Berkeley

UC Berkeley Electronic Theses and Dissertations bannerUC Berkeley

Physicochemical Modeling of Copper Chemical Mechanical Planarization (CMP) Considering Synergies in Removal Materials

Abstract

With stringent requirements of copper chemical mechanical planarization (CMP), such as minimized step heights, enhanced uniformity and minimal defects, the CMP process needs to be improved based on a fundamental understanding of the material removal mechanisms. Also, with the stringent requirements, the problems in copper CMP process cannot be resolved solely improving the process itself; rather, systemic understanding of the entire manufacturing processes is necessary, demanding a robust copper CMP model to be implemented to design for manufacturing (DfM) tools. Previous models heavily relied on Preston's equation ( ), which needs to be calibrated for every new set of processing parameters, slowing down the process development. Previous models focused on limited interactions of the consumables and the workpiece during copper CMP, being insufficient at capturing the synergies between chemical and mechanical aspects of copper CMP.

Therefore, a quantitative and physicochemical model of copper CMP that predicts material removal rate (MRR) was proposed while focusing on the interplay of consumables and copper and the synergies between chemical and mechanical aspects of the process. While considering the synergies, two mechanisms of the material removal during copper CMP were suggested: chemically dominant and mechanically dominant mechanisms. The total MRR during copper CMP was determined by summing those two contributions.

The chemically dominant mechanism attributed the material removal during copper CMP to the removal of the protective material formed on the surface of copper and to the chemical dissolution of copper from the surface both at regions occupied and not occupied by the protective material with different rates. The kinetics of the formation of the protective material at the millisecond scale were studied through electrochemical experiments and theoretical analysis where a governing equation for the adsorption of benzotriazole (BTA) was constructed and solved. It was found that the grown protective material (CuBTA) during copper CMP was only a fraction of a monolayer partly occupying the surface of a wafer. This was because the time allowed for the adsorption of BTA on the surface of copper was limited by the time between consecutive asperity and copper interactions, which was only of the order of one millisecond. The formation and the removal of the protective material were assumed to be balanced during CMP, yielding a constant chemically dominant MRR. The removal of the protective material by abrasion with abrasive particles was investigated by in situ electrochemical measurement during polishing. The removal efficiency of a pad asperity where abrasive particles are embedded was evaluated from the measurements and was compared with the theoretical analysis. It showed a good agreement and suggested that the copper during CMP is mostly deformed elastically by the abrasive particles.

The influence of the concentration of copper ions on the kinetics of the formation of the protective material was also investigated using potential-step chronoamperometry using two types of copper microelectrode, namely a three dimensional and a planar electrode. The amount of copper ion may easily build up to a level that exceeds the solubility product of Cu(II)BTA2. Under these conditions, Cu(II)BTA2 can nucleate, consuming the protective material formed on the surface of copper. This phenomenon is highly undesirable as it increases the dissolution rates at the regions where the protective material is removed, worsening the topography after copper CMP.

The mechanically dominant MRR was determined from the volume of a wafer that is plastically deformed by indentation of abrasives that are squeezed between pad asperities and the wafer. The shear stress induced in copper by the force applied on an abrasive is lower than the ideal shear strength of copper, which is the relevant property for plasticity at this length scale. However, the crystallographic defects in the copper crystal may reduce the hardness of the material, allowing the material to be plastically deformed. Especially the roughness of the surface induced by chemical additives in the slurry greatly reduces the resistance to plastic deformation of copper. Because of the localized spatial distribution of those crystallographic defects the plastic deformation occurs only locally. Also, only a part of the plastically deformed material will be detached from the surface, contributing to the MRR. While applying this mechanism, the discrepancy of the MRR behavior with varying size and concentration of abrasives between the prediction and the experimental observations was resolved by proposing a new mechanism that determines the number of abrasives participating in the abrasion of the material. The transport mechanisms of abrasive particles toward a wafer and the electrostatic interactions between abrasives were considered to affect the number of abrasive particles deposited on the surface of a wafer. If the deposition of abrasives on the surface of a wafer is limited by the diffusion of abrasives, the MRR decreases with the size of the abrasives. In contrast, the MRR increases with the size of abrasives if the deposition of the abrasives is limited by the jamming limit of the deposited abrasives at the surface of the wafer. Also, micrometer sized abrasives increases the MRR when the size is increased because the deposition of abrasives is limited by the interception mechanism of the abrasives.

The proposed model successfully captured the synergies between chemical and mechanical aspects and quantitatively predicted the MRR during copper CMP. In the future, the model will be applied to predict the pattern dependent variability of topography of a wafer after CMP. The proposed model quantitatively predicts the local MRR of copper. Along with a robust model for dielectric and barrier materials, the model can predict the topography after CMP, contributing to the optimization of the CMP process.

Main Content
For improved accessibility of PDF content, download the file to your device.
Current View