Skip to main content
eScholarship
Open Access Publications from the University of California

UC Santa Cruz

UC Santa Cruz Electronic Theses and Dissertations bannerUC Santa Cruz

DEMIS: Dynamic EMI Shifting

Creative Commons 'BY' version 4.0 license
Abstract

Processors emit non-trivial amounts of electromagnetic radiation, creating interference at frequencies that are used by wireless communication technologies such as cellular, WiFi, and Bluetoooth. I introduce the problem of in-band radio frequency interference as a form of electromagnetic interference (EMI) to the computer architecture community as a technical challenge to be addressed.

My research is the first to provide insights in the new area of dynamically shifting the EMI generated by a processor by evaluating several platforms and showing the EMI is sensitive to many architectural and compilation parameters through exhaustive measurements. Using these measurements, I propose the new idea of Dynamic EMI Shifting (DEMIS), where architectural and/or compiler changes allow the EMI to be shifted at runtime by a processor. DEMIS

processors dynamically move the interference from frequency bands used during communication to other, unused frequencies. Unlike previous works that leverage static techniques, DEMIS dynamically targets specific frequency bands; the type of techniques used in my research are only possible from an architectural perspective.

Despite the fact that the EMI generated by a processor is deterministic, modeling the EMI has proven to be a complex challenge. Moreover, EMI has been shown to be layout dependent (affected by the location of functional units on the chip and the lengths of the wires) and binary dependent (affected by not only the application but also on the compilation options). I propose a Model for EMI from an SoC (MESC), a framework for modeling electromagnetic emissions from a core. MESC takes into account some layout information and the switching activity of a process to model the expected EMI emitted by an SoC. I validate MESC against a core running on an FPGA. My evaluation shows that MESC is able to predict EMI within 95% accuracy across time and across the frequency spectrum, even when using statistical sampling to obtain activity rates.

Using the results from MESC, I am able to propose that two different layouts of a single RTL can be leveraged to dynamically shift EMI using my technique EMI Core Hopper (EMI CHopper), a layout-based implementation of DEMIS. EMI CHopper uses a multi-core system, where each core has a different layout but the same RTL, and utilizes thread migration to have an application “hop” between cores to reduce in-band EMI on the fly. Leveraging MESC, EMI CHopper reduces in-band EMI by up to 50%, with only a small performance impact.

In order to implement DEMIS for existing systems, I propose utilizing higher level techniques, such as compiler optimizations and clock speeds. My evaluation over real systems shows a decrease of in-band EMI ranging from 3 to 15dB, with less than a 10% average performance impact. A 15dB EMI reduction for LTE can represent a bandwidth improvement of over 3 times for EMI bound communication. The research presented in this thesis offers a new, architectural perspective on a prevalent problem in wireless communications. My findings based purely on architectural techniques show significant promise in improving wireless communications, and the tools I described offer many opportunities for further research.

Main Content
For improved accessibility of PDF content, download the file to your device.
Current View