Skip to main content
eScholarship
Open Access Publications from the University of California

UC Berkeley

UC Berkeley Electronic Theses and Dissertations bannerUC Berkeley

Simulation and Compensation Methods for EUV Lithography Masks with Buried Defects

Abstract

This dissertation describes the development and application of a new simulator, RADICAL, which can accurately simulate the electromagnetic interaction in extreme ultraviolet (EUV) lithography at a wavelength of 13.5nm between the mask absorber features and a buried multilayer defect three orders of magnitude faster than rigorous methods. RADICAL achieves this performance by using simulation and modeling methods designed specifically for the individual EUV mask components simulated. The nonplanar nature of a multilayer coated buried defect can be simulated using a ray tracing method developed by Michael Lam, or the faster advanced single surface approximation (SSA) for shorter defects with more uniform layers below the mask surface, and the absorber is modeled using a propagated thin mask model which efficiently accounts for the thickness of the absorber material. The multilayer and absorber simulation results are linked by a Fourier transform which converts the electric field output by one simulator into a set of plane waves for the next.

As a new form of projection lithography technology, EUV is fundamentally different than current technologies and therefore requires new methods for mask analysis, inspection and compensation. At the 13.5nm wavelength, all materials have a refractive index of around unity and are absorptive. This means that EUV masks must be reflective multilayers. Understanding the electromagnetic response of these new masks, specifically the effects of multilayer defects requires new simulation methods, such as RADICAL.

The accuracy and speed of RADICAL has been verified by comparisons with rigorous finite difference time domain (FDTD) simulations, rigorous waveguide method simulations, and actinic inspection experiments provided by Lawrence Berkeley National Laboratory (LBNL) and Intel. RADICAL matches the critical dimension (CD) predicted by FDTD within 1nm for defects up to 2.5nm tall on the multilayer surface. It matches actinic inspection results, within the error of the experiment, for defects up to 6.5nm tall on the surface. This accuracy is acceptable because the EUV defects expected in production lithography are expected be 2nm tall or less. RADICAL is typically about 1,000 times faster than FDTD for a single simulation of a two-dimensional absorber pattern. But, RADICAL's modular design allows the re-use of multilayer simulation results so subsequent simulations of different patterns over the same defect geometry take a small fraction of the time of the first simulation.

RADICAL has been applied to many important issues in EUV lithography. It was used to advance the understanding of isolated defects by showing that they are primarily phase defects, because they cause an inversion in aerial image intensity through focus, but cannot simply be modeled by a thin mask defect with a uniform phase. This means EUV buried defects must be treated differently than the phase defects encountered in conventional optical lithography masks.

Experimental images of isolated defects were used to extract large aberrations from the state of the art Actinic Inspection Tool (AIT) at LBNL. This novel method for aberration extraction required only the comparison of the center intensity of an isolated defect image through focus between RADICAL and the AIT images. This work resulted in a deeper understanding of the AIT tool, which led to improvements of the tool by the team at LBNL, which have benefited the entire industry.

The critical issue of the printability of buried defects near features and its dependence on illumination in future production tools is addressed by a thorough investigation of the resulting aerial images and CD change for defects near 22nm and 16nm features. The effect of the position, size and shape of the defect is explored. The printability of a buried defect is very dependent on its position relative to the absorber features and the worst case position depends on the defect size. Also, defects only a few nanometers tall that are covered by the absorber can still cause an unacceptable CD change. The effects of illumination are also investigated to show that the improved image slope produced by advanced off-axis illuminations reduces the printability of buried defects in focus, but through focus the area affected by a buried defect is much larger for dipole and annular illuminations than it is for tophat.

The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried defect by adjusting the absorber pattern. The first employs pre-calculated design curves to prescribe absorber modifications based only on the CD change caused by the defect. This method successfully compensates for the defect in focus, but the defect still causes a CD change through focus. To reduce the effect of the defect through focus, absorber is used to cover the defect and block the out of phase light from the defect. This covering produces some improvement in through focus printability and works best for defects which have a narrower surface geometry after smoothing.

Main Content
For improved accessibility of PDF content, download the file to your device.
Current View