Skip to main content
eScholarship
Open Access Publications from the University of California

UC Berkeley

UC Berkeley Electronic Theses and Dissertations bannerUC Berkeley

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Abstract

EUV lithography (EUVL) is a candidate technology for patterning of ever shrinking feature

sizes in integrated circuits. There are several challenges to high volume manufacturing

of devices using EUVL in a cost-effective manner, which include limited source power, mask

defects and non-idealities in the photoresist, the imaging medium. Focus of this thesis is on

photoresists. Specifically, influence of absorption shot noise on the final LER was studied

experimentally through comparative analysis of LER obtained with EUV (92 eV photons)

and 100 keV e-beam lithography. The key contribution here is that the lithography experiments

were performed with matched imaging conditions between EUV and e-beam, which

allowed for a fair comparison between the LER values measured using the two patterning

technologies. In scenarios where the e-beam spatial resolution was better than that for EUV,

the technique of gray-scale e-beam lithography was experimentally demonstrated to result in

closely matched image gradients between e-beam and EUV patterning. It was shown that the

measurable parameter known as the exposure latitude is a good parameter to test whether

the aerial images between two experiments have identical gradients for idential materials and

processing conditions.

With matched imaging conditions, resist materials and processing conditions, lithographic

data showed that the incident flux needed to pattern 50 nm half-pitch lines and

spaces for a leading chemically amplified resist was 10.7 photons/nm2 for 92 eV photons,

and 4.44 electrons/nm2 for 100 keV electrons. Measurements of absorption of 100 keV electrons

estimated through an EELS measurement with 120 keV beam showed that despite having

access to core levels in the material (e.g., 284 eV edge in carbon), these electrons mostly just

excite the energy levels less than 100 eV in the resist, with a mean deposited energy of 35 eV.

Results showed that the probability of an energy loss event in a 45 nm thick resist film with

100 keV electrons was 0.4, about 2.35x larger than that for EUV (0.17). By combining the

incident flux and the absorption probabilities, the absorption flux was found to be similar

between the two patterning technologies. A possible reason is that either the secondary

electron spectra created in the material through ionization events are similar for EUV and 100 keV e-beam exposures, or that there are only small differences which ultimately do not

matter from the standpoint of acid generation statistics. With matched imaging conditions

and matched absorption density, the mean LER for e-beam was found to be larger by about

1 nm.

Influence of various material contributors in determining the resist LER was also studied

from a modeling standpoint. Reaction/diffusion parameters in a stochastic resist model were

calibrated to resist contrast curve data and line/space patterns. With the best fit reaction

and diffusion parameters, the contributions of absorption shot noise, acid generation statistics

and the base counting statistics on the resist LER were determined. Shot noise was found

to account for 46% of the total LER, while the acid generation and base loading statistics

were found to account for 22% and 32% of the LER respectively.

Interactions of low energy electrons in EUV resists were studied from both experimental

and modeling standpoint. Low energy (< 92 eV) electrons are primarily responsible for

initiating chemistry that leads to image formation in EUV resists. Thus key to controlling

EUV exposure efficiency is understanding low energy electron radiation chemistry efficiency

as a function of electron energy. Thickness versus exposure dose measurements were made

with incident electron energies ranging between 29 eV and 91 eV. Thickness removed was

much larger than the average secondary electron range and was bake temperature dependent

and thus is a useful indicator of de-protection blur introduced by the bake process. The

dissolution volume per eV deposited energy was nearly similar for 29 eV to 91 eV energies,

although there is some indication that incident electrons with lower energies are slightly

more effective at causing chemistry. The volume removed per eV was about 0.1 nm3 per 1

nm2 area.

The well-known dielectric model for inelastic scattering was used to develop a stochastic

model for simulating trajectories traversed by secondary electrons in the resist. Electron

energy loss spectroscopy (EELS) was used to measure the dielectric function for a leading

chemically amplified resist. Analytical expressions for the Mermin dielectric functions which

account for energy and momentum transfer were then fit to the measurement to build a

complete dielectric model for the resist. Stochastic simulations were then performed with

the scattering parameters determined by the dielectric model to calculate energy deposition

and acid generation statistics. These results were used to quantify the net acid generation

blur, which was found to be between 1.8 nm and 2 nm from the point of origin of the electrons.

The radial distribution of acid generation sites was fit using a Rayleigh distribution and the

best fit sigma parameters in the distributions were found to range between 1.2 nm at 30 eV and

1.41 nm at 91 eV. The net acid yield calculated by the simulator was found to be 1.6 for an

80 eV electron.

Main Content
For improved accessibility of PDF content, download the file to your device.
Current View