FPGA Implementation of Adaptive Weight Calculation Core Using QRD-RLS Algorithm
Skip to main content
eScholarship
Open Access Publications from the University of California

FPGA Implementation of Adaptive Weight Calculation Core Using QRD-RLS Algorithm

Abstract

We present a novel architecture for adaptive weight calculation (AWC) that uses the QR decomposition based recursive least squares (RLS) algorithm. Our AWC core achieves a throughput of 0.20M updates per second for a 4 x 4 matrix on a Xilinx Virtex4 SX FPGA. We show that our core is significantly faster than other published FPGA implementations and it requires fewer resources. This is largely a consequence of careful error analysis that allows us to take advantage of a fixed point data representation with little degradation in the final results. Finally, our proposed architecture scales well for larger size matrices.

Pre-2018 CSE ID: CS2009-0937

Main Content
For improved accessibility of PDF content, download the file to your device.
Current View